Senin, 25 Oktober 2010

Sedikit penjelasan mengenai Entity dan Library

  • Entity saat digunakan dalam entity lainnya menjadi komponen bagi entity tersebut.
  • Unit-unit desain untuk menggambarkan entity: entity declaration, architecture body, configuration declaration, package declaration, dan package body.
  • Entity declaration menyatakan interface dari entity.
  • Architecture body menggambarkan internal view dari entity dengan menggunakan style sequential behavior, dataflow, structure atau mixed.
  • Configuration declaration menyatakan hirarki dari rancangan.
  • Package declaration dan package body berisi kumpulan deklarasi sejenis yang dapat dibagi dengan unit-unit desain yang berbeda.
  • Entity pada kondisi minimal, terdiri dari satu entity declaration dan satu architecture body.
  • Port adalah tempat dimana sinyal dapat berhubungan dengan lingkungan luar.
  • Untuk setiap port yang dinyatakan dalam entity declaration, tipe port dan mode port juga disebutkan.
  • Pada model structure, architecture body berisi satu set komponen yang saling berhubungan.
  • Komponen dinyatakan menggunakan component declaration dan dihubungkan menggunakan signal.
  • Pernyataan concurrent signal assignment digunakan untuk menggambarkan fungsi dari entity dengan menggunakan dataflow style.
  • Ekspresi pada sisi sebelah kanan dari concurrent signal assignment dikomputasi setiap ada event pada signal yang digunakan. Nilai komputasi selanjutnya dihubungkan ke target (sisi sebelah kiri) setelah delay tertentu, jika tidak terdapat delay, delta delay diasumsikan.
  • Pada model behavioral, kumpulan pernyataan sekuensial digambarkan dalam process declaration, yang dieksekusi secara sekuensial dalam zero time.
  • Pernyataan process dieksekusi pertama kali saat fase inisialisasi dari simulasi. Kemudian ditunda jika terdapat sensitivity list atau pernyataan wait. Jika keduanya tidak ada, pernyataan process merupakan loop tak terbatas selama inisialisasi.
  • Pernyataan process dieksekusi ulang jika terdapat event dalam signal manapun pada sensitivity list.
  • Jika pernyataan process mempunyai pernyataan wait, proses akan meresume eksekusi setelah kondisi tunda dipenuhi atau interval time-out dilewati.
  • Variable dapat dinyatakan dalam proses dan subprogram. Signal tidak dapat dinyatakan dalam proses atau subprogram.
  • dapat diberikan secara langsung ke variable, sementara jika akan diberikan ke suatu signal harus setelah delay tertentu.
  • Ketiga model dari style dapat digabungkan dalam model tunggal.
  • Configuration declaration digunakan untuk menyebutkan hirarki dari entity. Hal ini menyatakan architecture body yang dipilih serta kumpulan komponen di dalamnya ke entity yang terletak pada library desain.
  • Package declaration digunakan untuk menyimpan kumpulan deklarasi yang sering dipakai.
  • Package body selalu dihubungkan dengan package declaration. Sangat penting jika package declaration yang berhubungan berisi constant declaration yang ditangguhkan atau subprogram.
  • VHDL analyzer mengkompilasi file desain (berisi satu atau lebih unit desain) dan menyimpan setiap unit desain hasil kompilasinya dalam library yang dinyatakan sebagai working library.
  • Library desain merupakan implementasi khusus tempat penyimpanan dalam host environment dimana deskripsi yang telah dikompilasi dapat disimpan.
  • Satu atau lebih library desain yang ada harus memiliki nama logika, dimana pemetaannya pada lokasi fisik tertentu dinyatakan secara eksternal dalam host environment, jadi bukan menjadi bagian dari bahasa.
  • Package yang ada: STANDARD dan TEXTIO. Keduanya terletak pada library desain STD.
  • Untuk simulasinya, pasangan entity-architecture top-level atau nama konfigurasi disebutkan.
  • Tiga step simulasi: elaboration, initialization, simulation.
  • Selama fase inisialisasi setiap proses dieksekusi satu kali dan semua sinyal diberi harga awal.
  • Simulasi selanjutnya didasarkan pada waktu dari event selanjutnya.

Di bawah ini daftar mengenai library, std, dan work :


ibrary IEEE:

use IEEE.std_logic_1164.all;

use IEEE.std_logic_textio.all;

use IEEE.std_logic_arith.all;

use IEEE.numeric_bit.all;

use IEEE.numeric_std.all;

use IEEE.std_logic_signed.all;

use IEEE.std_logic_unsigned.all;

use IEEE.math_real.all;

use IEEE.math_complex.all;



Library STD:

use STD.standard.all;

use STD.textio.all;

Library WORK:
pendeklarasian yang implisit,dimana semua source codenya akan dimasukkan ke library tersebut.

Semoga ini dapat membantu anda

1 komentar:

  1. bro,thx bwt infonya...
    tpi ane kurang paham mengenai
    use IEEE.std_logic_1164.all;
    use IEEE.std_logic_arith.all;

    pertanyaanya,, mengapa library diatas digunakan??
    kegunaanya apa??kapan digunakan?? apa yg terjadi bila tidak menggunakanya..
    thx ya bro..

    BalasHapus